全国大学生电子设计大赛控制类应该准备哪些

拿一杯铁 2021-09-19 09:36 493 次浏览 赞 71

最新问答

  • hylandstar

    全国大设计大赛应该怎么准备?
    最主要的是学习什么课程::《电工电路基础》《低频线路》《数字电路》《高频线路》《测量》《智能仪器原理及应用》《传感器技术》《电机与电气控制》《信号与系统》《单片机接口原理及应用》等等。 所要学习的科目当然不能定局,知识面越广越好,它是对各个科目综合运用的产物,而且要具有非常强的动手和创新能力,对一个人的动手能力有很大的促进作用.学起来不要急于求成,掌握一些基本电路及调试,焊接技术,Protel的使用,能机器人最好对机械结构方面也要懂些。 很多东西只要学习了不一定什么时候就能用到,不要在学时表面感觉没用就不注重它,那样就犯了很大的错误了。在学习过程中你会体会到很多乐趣的。 要厚积勃发。
    全国大设计大赛应该准备哪些模块?
    主要可以针对以下几类准备模块:电源类、信号源类、无线电类、放大器类、仪器仪表类、控制类 。
    建议现在打好基础,做好知识储备: 1.数电,模电,单片机原理,C语言,这几个是必学的,重要,相当重要。 2.收集相关,比如芯片手册,应用笔记,源程序,实例,现在吧积累好了,到时候用起来很方便。 3.多跑市场,买些元件回来自己动手做一些东西,锻炼实践能力。 4.看往年设计大赛的题目,学习别人设计的长处,最好自己总结下,写成自己的东西。
    5.找你们学校以前带设计竞赛的,告诉他你自己的想法,希望他能给你点建议或者帮助。
    6.坚持,坚持,再坚持,克服困难,持之以恒!
    这些最基本的东西学好了,等你正式参加比赛的时候,什么ARM,DSP,FPGA等用起来也就不是很困难了!切记,不要赶时髦,追新潮,最基本的东西全掌握了,新东西也不就那么神秘了!

    课程方面:
    还要学单片机啊、嵌入式系统、数字电路、CPLD/FPGA设计、C语言、汇编、微机接
    模电要好好学,信号没多大用 CPLD/FPGA编程/模拟用QuartusII 单片机模拟Proteus 模电模拟用Multisim 单片机编程用Keil,用的C语言和汇编 嵌入式还要用到Linux的内核 还有个画PCB板的,Protel 99SE,现在最新的叫“Altium Designer” 反正这些东西都会要用的,要学起来东西很多,建议你要用到什么看书吧~而且设计竞赛都是几个人一组,分工合作

    浏览 437赞 135时间 2023-08-17

全国大学生电子设计大赛控制类应该准备哪些